Toggle Main Menu Toggle Search

Open Access padlockePrints

Browsing publications by Dr Terrence Mak.

Newcastle AuthorsTitleYearFull text
Ammar Karkar
Dr Terrence Mak
Nizar Dahir
Ra'ed Al-Dujaily
Professor Alex Yakovlev
et al.
Network-on-Chip Multicast Architectures Using Hybrid Wire and Surface-Wave Interconnects2018
Professor Alex Yakovlev
Dr Terrence Mak
A Resilient 2-D Waveguide Communication Fabric for Hybrid Wired-Wireless NoC Design2017
Professor Alex Yakovlev
Dr Terrence Mak
Extending the performance of hybrid NoCs beyond the limitations of network heterogeneity2017
Ammar Karkar
Dr Terrence Mak
Professor Alex Yakovlev
A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in Many-Cores2016
Dr Jun Luo
Graeme Coapes
Dr Terrence Mak
Professor Patrick Degenaar
Real-Time Simulation of Passage-of-Time Encoding in Cerebellum Using a Scalable FPGA-Based System2016
Ammar Karkar
Dr Terrence Mak
Professor Alex Yakovlev
Mixed wire and surface-wave communication fabrics for decentralized on-chip multicasting2015
Ammar Karkar
Dr Terrence Mak
Professor Alex Yakovlev
Mixed wire and surface-wave communication fabrics for decentralized on-chip multicasting2015
Dr Terrence Mak
Professor Alex Yakovlev
Power-Adaptive Computing System Design for Solar-Energy-Powered Embedded Systems2015
Dr Jun Luo
Graeme Coapes
Professor Patrick Degenaar
Dr Terrence Mak
A real-time silicon cerebellum spiking neural model based on FPGA2014
Dr Jun Luo
Graeme Coapes
Dr Terrence Mak
Professor Patrick Degenaar
A Scalable FPGA-based Cerebellum for Passage-of-Time Representation2014
Nizar Dahir
Dr Ghaith Tarawneh
Dr Terrence Mak
Ra'ed Al-Dujaily
Professor Alex Yakovlev
et al.
Design and Implementation of Dynamic Thermal-Adaptive Routing Strategy for Networks-on-Chip2014
Dr Ghaith Tarawneh
Professor Alex Yakovlev
Dr Terrence Mak
Eliminating Synchronization Latency Using Sequenced Latching2014
Ammar Karkar
Nizar Dahir
Raaed Al-Dujaily
Dr Terrence Mak
Professor Alex Yakovlev
et al.
Hybrid wire-surface wave architecture for one-to-many communication in networks-on-chip2014
Nizar Dahir
Dr Terrence Mak
Dr Fei Xia
Professor Alex Yakovlev
Modelling and Tools for Power Supply Variations Analysis in Networks-on-Chip2014
Raaed Al-Dujaily
Dr Terrence Mak
Dr Fei Xia
Professor Alex Yakovlev
Run-Time Deadlock Detection2014
Nizar Dahir
Ra'ed Al-Dujaily
Dr Terrence Mak
Professor Alex Yakovlev
Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks2014
Raaed Al-Dujaily
Dr Terrence Mak
Dr Fei Xia
Professor Alex Yakovlev
Dynamic On-Chip Thermal Optimization for Three-Dimensional Networks-On-Chip2013
Raaed Al-Dujaily
Nizar Dahir
Dr Terrence Mak
Dr Fei Xia
Professor Alex Yakovlev
et al.
Dynamic programming-based runtime thermal management (DPRTM): An online thermal control strategy for 3D-NoC systems2013
Nizar Dahir
Dr Terrence Mak
Raaed Al-Dujaily
Professor Alex Yakovlev
Highly adaptive and deadlock-free routing for three-dimensional networks-on-chip2013
Ammar Karkar
Raaed Al-Dujaily
Dr Terrence Mak
Professor Alex Yakovlev
Dr Fei Xia
et al.
Hybrid wire-surface wave interconnects for next-generation networks-on-chip2013
Dr Jun Luo
Professor Patrick Degenaar
Graeme Coapes
Professor Alex Yakovlev
Dr Terrence Mak
et al.
Towards Reliable Hybrid Bio-Silicon Integration Using Novel Adaptive Control System2013
Dr Terrence Mak
3-D VLSI Systems Integration2012
Dr Terrence Mak
Dr Fei Xia
Professor Alex Yakovlev
Embedded Transitive Closure Networks for Runtime Deadlock Detection in Networks-on-Chip2012
Bo Yu
Dr Terrence Mak
Dr Fei Xia
Professor Alex Yakovlev
Dr Yudong Sun
et al.
Real-Time FPGA-Based Multichannel Spike Sorting Using Hebbian Eigenfilters2012
Bo Yu
Dr Terrence Mak
Professor Leslie Smith
Stream-based Hebbian eigenfilter for real-time neuronal spike discrimination2012
Dr Terrence Mak
Truncation error analysis of MTBF computation for multi-latch synchronizers2012
Professor John Fitzgerald
Dr Terrence Mak
Professor Alexander Romanovsky
Professor Alex Yakovlev
Workshop Proceedings: Trustworthy Cyber-Physical Systems2012
Dr Terrence Mak
Adaptive Routing in Network-on-Chips Using a Dynamic Programming Network2011
Dr Terrence Mak
Dynamic Programming Networks for Large-Scale 3D Chip Integration2011
Dr Terrence Mak
A CMOS current-mode dynamic programming circuit2010
Bo Yu
Dr Terrence Mak
Xinhua Li
Dr Fei Xia
Professor Alex Yakovlev
et al.
A Reconfigurble Hebbian Eigenfilter for Neurophysiological Spike Train Analysis2010
Dr Terrence Mak
Wave-pipeliend intra-chip signalling for on-FPGA communications2010
Dr Terrence Mak
A DP-network for optimal dynamic routing in network-on-chip2009
Dr Terrence Mak
Crescenco D'Alessandro
Professor Alex Yakovlev
Global interconnections in FPGAs: modeling and performance analysis2008
Dr Terrence Mak
Crescenco D'Alessandro
Professor Alex Yakovlev
Implementation of wave-pipelined interconnects in FPGAs2008
Dr Terrence Mak
Interconnection length and delay estimation for communication links in FPGAs2008
Dr Terrence Mak
A Current-Mode Analog Circuit for Reinforcement Learning Problems2007
Dr Terrence Mak
A Hybrid Analog-Digital Routing Network for NoC Dynamic Routing2007
Dr Terrence Mak
Average interconnection delay estimation for on-FPGA communication links2007
Dr Terrence Mak
A Component-Based FPGA Design Framework for Neuronal Ion Channel Dynamics Simulations2006
Dr Terrence Mak
On-FPGA Communication Architectures and Design Factors2006
Dr Terrence Mak
Equivalence-set genes partitioning using an evolutionary-DP approach2005